UzixLS / zx-sizif-512

ZX Spectrum CPLD-based clone for rubber case

Date Created 2020-04-19 (4 years ago)
Commits 275 (last one 3 months ago)
Stargazers 159 (0 this week)
Watchers 29 (0 this week)
Forks 22
License mit
Ranking

RepositoryStats indexes 537,046 repositories, of these UzixLS/zx-sizif-512 is ranked #198,520 (63rd percentile) for total stargazers, and #74,286 for total watchers. Github reports the primary language for this repository as KiCad Layout, for repositories using this language it is ranked #7/20.

UzixLS/zx-sizif-512 is also tagged with popular topics, for these it's ranked: verilog (#103/258),  pcb (#67/209)

Other Information

UzixLS/zx-sizif-512 has Github issues enabled, there are 2 open issues and 11 closed issues.

There have been 9 releases, the latest one was published on 2023-08-20 (10 months ago) with the name Firmware v20230820.

Star History

Github stargazers over time

Watcher History

Github watchers over time, collection started in '23

Recent Commit History

60 commits on the default branch (master) since jan '22

Yearly Commits

Commits to the default branch (master) per year

Issue History

Languages

The primary language is KiCad Layout but there's also others...

Opengraph Image
UzixLS/zx-sizif-512

updated: 2024-06-24 @ 05:34pm, id: 256979680 / R_kgDOD1Ey4A