rejunity / z80-open-silicon

Z80 open-source silicon clone. Goal is to become a silicon proven, pin compatible, open-source replacement for classic Z80.

Date Created 2024-04-21 (about a month ago)
Commits 57 (last one 7 days ago)
Stargazers 552 (1 this week)
Watchers 31 (0 this week)
Forks 19
License apache-2.0
Ranking

RepositoryStats indexes 528,822 repositories, of these rejunity/z80-open-silicon is ranked #79,180 (85th percentile) for total stargazers, and #69,193 for total watchers. Github reports the primary language for this repository as Verilog, for repositories using this language it is ranked #37/453.

rejunity/z80-open-silicon is also tagged with popular topics, for these it's ranked: verilog (#42/254),  foss (#42/171),  retrocomputing (#14/117)

Other Information

rejunity/z80-open-silicon has Github issues enabled, there are 4 open issues and 1 closed issue.

Homepage URL: https://rejunity.github.io/z80-open-silicon/

Star History

Github stargazers over time

Watcher History

Github watchers over time, collection started in '23

Recent Commit History

57 commits on the default branch (main) since jan '22

Yearly Commits

Commits to the default branch (main) per year

Issue History

Languages

The primary language is Verilog but there's also others...

updated: 2024-06-13 @ 02:11am, id: 789689511 / R_kgDOLxG0pw