rejunity / z80-open-silicon

Z80 open-source silicon clone. Goal is to become a silicon proven, pin compatible, open-source replacement for classic Z80.

Date Created 2024-04-21 (5 months ago)
Commits 59 (last one about a month ago)
Stargazers 599 (0 this week)
Watchers 32 (0 this week)
Forks 22
License apache-2.0
Ranking

RepositoryStats indexes 565,600 repositories, of these rejunity/z80-open-silicon is ranked #77,416 (86th percentile) for total stargazers, and #67,609 for total watchers. Github reports the primary language for this repository as Verilog, for repositories using this language it is ranked #37/505.

rejunity/z80-open-silicon is also tagged with popular topics, for these it's ranked: cpu (#74/279),  verilog (#42/272),  foss (#42/182),  retrocomputing (#14/129)

Other Information

rejunity/z80-open-silicon has Github issues enabled, there are 4 open issues and 2 closed issues.

Homepage URL: https://rejunity.github.io/z80-open-silicon/

Star History

Github stargazers over time

Watcher History

Github watchers over time, collection started in '23

Recent Commit History

59 commits on the default branch (main) since jan '22

Yearly Commits

Commits to the default branch (main) per year

Issue History

Languages

The primary language is Verilog but there's also others...

updated: 2024-09-28 @ 01:09pm, id: 789689511 / R_kgDOLxG0pw