MPSU / APS

Методические материалы по разработке процессора архитектуры RISC-V

Date Created 2023-09-07 (8 months ago)
Commits 482 (last one 9 days ago)
Stargazers 93 (0 this week)
Watchers 7 (0 this week)
Forks 34
License cc-by-sa-4.0
Ranking

RepositoryStats indexes 523,840 repositories, of these MPSU/APS is ranked #281,561 (46th percentile) for total stargazers, and #256,773 for total watchers. Github reports the primary language for this repository as SystemVerilog, for repositories using this language it is ranked #64/152.

MPSU/APS is also tagged with popular topics, for these it's ranked: fpga (#235/425),  riscv (#88/146)

Other Information

MPSU/APS has 1 open pull request on Github, 52 pull requests have been merged over the lifetime of the repository.

Github issues are enabled, there are 3 open issues and 17 closed issues.

Homepage URL: https://mpsu.github.io/APS/

All Topics

Star History

Github stargazers over time

Watcher History

Github watchers over time, collection started in '23

Recent Commit History

482 commits on the default branch (master) since jan '22

Yearly Commits

Commits to the default branch (master) per year

Issue History

Languages

The primary language is SystemVerilog but there's also others...

updated: 2024-06-01 @ 09:46am, id: 688517841 / R_kgDOKQny0Q