zslwyuan / Basic-SIMD-Processor-Verilog-Tutorial

Implementation of a simple SIMD processor in Verilog, core of which is a 16-bit SIMD ALU. 2's compliment calculations are implemented in this ALU. The ALU operation will take two clocks. The first clock cycle will be used to load values into the registers. The second will be for performing the operations. 6-bit opcodes are used to select the functions. The instruction code, including the opcode, will be 18-bit.

Date Created 2018-10-31 (5 years ago)
Commits 10 (last one 2 years ago)
Stargazers 120 (1 this week)
Watchers 6 (0 this week)
Forks 33
License gpl-3.0
Ranking

RepositoryStats indexes 565,279 repositories, of these zslwyuan/Basic-SIMD-Processor-Verilog-Tutorial is ranked #250,497 (56th percentile) for total stargazers, and #293,177 for total watchers. Github reports the primary language for this repository as Verilog, for repositories using this language it is ranked #181/505.

zslwyuan/Basic-SIMD-Processor-Verilog-Tutorial is also tagged with popular topics, for these it's ranked: cpu (#154/279),  verilog (#131/272)

Other Information

zslwyuan/Basic-SIMD-Processor-Verilog-Tutorial has Github issues enabled, there are 3 open issues and 0 closed issues.

Star History

Github stargazers over time

Watcher History

Github watchers over time, collection started in '23

Recent Commit History

2 commits on the default branch (master) since jan '22

Yearly Commits

Commits to the default branch (master) per year

Issue History

Languages

The only known language in this repository is Verilog

updated: 2024-09-28 @ 01:14pm, id: 155564755 / R_kgDOCUW60w