74 results found Sort:

955
13.2k
mit
212
A Web Audio framework for making interactive music in the browser.
Created 2014-03-11
5,383 commits to dev branch, last one 2 days ago
910
10.6k
other
297
Code. Music. Live.
Created 2013-04-29
10,955 commits to dev branch, last one 10 days ago
732
5.3k
gpl-3.0
162
An audio server, programming language, and IDE for sound synthesis and algorithmic composition.
Created 2012-05-04
26,101 commits to develop branch, last one 5 hours ago
171
2.5k
unknown
136
All things livecoding
Created 2017-02-14
308 commits to master branch, last one 2 months ago
95
1.7k
other
86
The SOUL programming language and API
Created 2018-12-03
861 commits to master branch, last one 3 years ago
130
1.3k
lgpl-3.0
65
Python DSP module
Created 2015-08-25
2,323 commits to master branch, last one 4 months ago
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Created 2015-06-26
20,099 commits to master branch, last one a day ago
32
747
other
34
Optimize floating-point expressions for accuracy
Created 2013-10-18
9,664 commits to main branch, last one a day ago
30
620
gpl-3.0
20
Innovation-first digital audio workstation (DAW), instrument and effect plugins, wave editor
Created 2021-09-27
1,782 commits to main branch, last one 4 months ago
98
612
other
57
Microsoft Program Synthesis using Examples SDK is a framework of technologies for the automatic generation of programs from input-output examples. This repo includes samples and sample data for the Mi...
Created 2015-10-21
224 commits to main branch, last one 2 months ago
181
601
bsd-2-clause
33
An abstraction library for interfacing EDA tools
Created 2018-05-09
523 commits to main branch, last one 27 days ago
44
526
gpl-3.0
19
:musical_note: strongly-timed musical programming language
Created 2016-09-26
7,982 commits to master branch, last one 20 days ago
💬 An extensive collection of exceptional resources dedicated to the captivating world of talking face synthesis! ⭐ If you find this repo useful, please give it a star! 🤩
Created 2023-12-06
41 commits to main branch, last one 3 days ago
127
489
other
27
Official TP-GAN Tensorflow implementation for paper "Beyond Face Rotation: Global and Local Perception GAN for Photorealistic and Identity Preserving Frontal View Synthesis"
Created 2018-03-03
18 commits to master branch, last one 2 years ago
My accurate Yamaha DX-7 clone. Programmed in Supercollider.
Created 2017-10-31
69 commits to master branch, last one about a year ago
53
432
gpl-2.0
20
Simple software synthesizer for Linux
Created 2012-10-14
1,470 commits to develop branch, last one 12 days ago
Adventure Kid Wave Forms are a collection of sampled one cycle waveforms for use in synthesizers or similar sound generators.
Created 2018-08-23
30 commits to master branch, last one 11 months ago
54
363
bsd-3-clause
9
A library for soundscape synthesis and augmentation
Created 2016-04-19
525 commits to master branch, last one 3 years ago
36
303
other
25
openFrameworks addon for audio synthesis and generative music
Created 2016-02-06
270 commits to master branch, last one 2 years ago
web audio, cracked.
Created 2014-07-08
366 commits to master branch, last one 11 months ago
Speech synthesis for ESP8266 using S.A.M. port
Created 2017-09-30
30 commits to master branch, last one 2 years ago
81
276
apache-2.0
43
System for synthesizing Java API idioms, powered by Neural Sketch Learning
Created 2017-05-05
709 commits to master branch, last one 4 years ago
28
254
other
15
Realtime MIDI IO for Ruby
Created 2011-04-19
262 commits to main branch, last one 2 years ago
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Created 2017-11-16
5,214 commits to main branch, last one 3 days ago
A Python API for SuperCollider
Created 2014-03-06
2,597 commits to main branch, last one 28 days ago
23
236
bsd-2-clause
16
A Chrome extension for writing custom web scraping programs and web automation programs. Just demonstrate how to collect the first row of data, then let the extension write the program for collecting...
Created 2016-04-19
788 commits to master branch, last one 3 years ago
47
224
apache-2.0
20
End-2-end speech synthesis with recurrent neural networks
Created 2018-07-21
243 commits to master branch, last one 3 months ago
69
218
other
10
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Created 2017-03-28
49 commits to master branch, last one about a month ago
47
202
other
28
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Created 2018-04-23
6,505 commits to master branch, last one 16 days ago