80 results found Sort:

977
13.4k
mit
211
A Web Audio framework for making interactive music in the browser.
Created 2014-03-11
5,385 commits to dev branch, last one 18 days ago
921
10.8k
other
297
Code. Music. Live.
Created 2013-04-29
10,969 commits to dev branch, last one about a month ago
746
5.4k
gpl-3.0
164
An audio server, programming language, and IDE for sound synthesis and algorithmic composition.
Created 2012-05-04
26,260 commits to develop branch, last one a day ago
176
2.6k
unknown
137
All things livecoding
Created 2017-02-14
313 commits to master branch, last one about a month ago
95
1.7k
other
85
The SOUL programming language and API
Created 2018-12-03
861 commits to master branch, last one 3 years ago
131
1.3k
lgpl-3.0
66
Python DSP module
Created 2015-08-25
2,323 commits to master branch, last one 8 months ago
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Created 2015-06-26
21,102 commits to master branch, last one 19 hours ago
32
764
other
34
Optimize floating-point expressions for accuracy
Created 2013-10-18
10,897 commits to main branch, last one a day ago
💬 An extensive collection of exceptional resources dedicated to the captivating world of talking face synthesis! ⭐ If you find this repo useful, please give it a star! 🤩
Created 2023-12-06
51 commits to main branch, last one 3 days ago
34
657
gpl-3.0
20
Innovation-first digital audio workstation (DAW), instrument and effect plugins, wave editor
Created 2021-09-27
1,784 commits to main branch, last one 3 months ago
185
623
bsd-2-clause
33
An abstraction library for interfacing EDA tools
Created 2018-05-09
523 commits to main branch, last one 4 months ago
99
615
other
58
Microsoft Program Synthesis using Examples SDK is a framework of technologies for the automatic generation of programs from input-output examples. This repo includes samples and sample data for the Mi...
Created 2015-10-21
232 commits to main branch, last one 2 days ago
44
534
gpl-3.0
21
:musical_note: strongly-timed musical programming language
Created 2016-09-26
7,982 commits to master branch, last one 4 months ago
127
494
other
27
Official TP-GAN Tensorflow implementation for paper "Beyond Face Rotation: Global and Local Perception GAN for Photorealistic and Identity Preserving Frontal View Synthesis"
Created 2018-03-03
18 commits to master branch, last one 2 years ago
My accurate Yamaha DX-7 clone. Programmed in Supercollider.
Created 2017-10-31
69 commits to master branch, last one 2 years ago
Adventure Kid Wave Forms are a collection of sampled one cycle waveforms for use in synthesizers or similar sound generators.
Created 2018-08-23
30 commits to master branch, last one about a year ago
53
439
gpl-2.0
19
Simple software synthesizer for Linux
Created 2012-10-14
1,498 commits to develop branch, last one 7 days ago
55
377
bsd-3-clause
8
A library for soundscape synthesis and augmentation
Created 2016-04-19
525 commits to master branch, last one 3 years ago
Speech synthesis for ESP8266 using S.A.M. port
Created 2017-09-30
30 commits to master branch, last one 2 years ago
37
304
other
25
openFrameworks addon for audio synthesis and generative music
Created 2016-02-06
270 commits to master branch, last one 2 years ago
web audio, cracked.
Created 2014-07-08
366 commits to master branch, last one about a year ago
80
276
apache-2.0
43
System for synthesizing Java API idioms, powered by Neural Sketch Learning
Created 2017-05-05
709 commits to master branch, last one 4 years ago
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Created 2017-11-16
5,223 commits to main branch, last one about a month ago
28
255
other
15
Realtime MIDI IO for Ruby
Created 2011-04-19
262 commits to main branch, last one 2 years ago
A Python API for SuperCollider
Created 2014-03-06
2,615 commits to main branch, last one 4 days ago
75
244
other
10
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Created 2017-03-28
49 commits to master branch, last one 5 months ago
24
241
bsd-2-clause
16
A Chrome extension for writing custom web scraping programs and web automation programs. Just demonstrate how to collect the first row of data, then let the extension write the program for collecting...
Created 2016-04-19
788 commits to master branch, last one 3 years ago
LLM verified with Monte Carlo Tree Search
Created 2023-11-11
912 commits to main branch, last one 25 days ago
45
225
apache-2.0
20
End-2-end speech synthesis with recurrent neural networks
Created 2018-07-21
243 commits to master branch, last one 7 months ago