74 results found Sort:

375
2.9k
apache-2.0
150
Open source process design kit for usage with SkyWater Technology Foundry's 130nm node.
Created 2020-05-06
370 commits to main branch, last one about a year ago
652
2.1k
other
90
The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux
Created 2018-01-23
7,016 commits to master branch, last one 5 days ago
203
1.5k
bsd-3-clause
51
:desktop_computer: A tiny, customizable and extensible MCU-class 32-bit RISC-V soft-core CPU and microcontroller-like SoC written in platform-independent VHDL.
Created 2020-06-23
6,648 commits to main branch, last one 3 days ago
Haskell to VHDL/Verilog/SystemVerilog compiler
Created 2013-07-04
5,983 commits to master branch, last one 5 days ago
176
1.3k
isc
37
SERV - The SErial RISC-V CPU
Created 2018-10-31
435 commits to main branch, last one 10 days ago
365
1.2k
apache-2.0
58
OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen and custom methodology scripts for design exploration and optimization.
Created 2020-07-20
1,879 commits to master branch, last one 10 hours ago
214
1.1k
bsd-3-clause
50
RISC-V CPU Core (RV32IM)
Created 2014-08-31
48 commits to master branch, last one 2 years ago
245
972
other
39
AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication
Created 2018-04-12
1,248 commits to master branch, last one about a month ago
139
798
apache-2.0
29
32-bit Superscalar RISC-V CPU
Created 2020-02-10
37 commits to master branch, last one 2 years ago
373
770
lgpl-2.1
112
Digital Signature Service : creation, extension and validation of advanced electronic signatures
Created 2015-01-30
6,061 commits to master branch, last one 6 months ago
144
711
other
29
Berkeley's Spatial Array Generator
Created 2018-10-31
736 commits to master branch, last one about a year ago
250
696
other
52
VUnit is a unit testing framework for VHDL/SystemVerilog
Created 2014-11-18
2,064 commits to master branch, last one a day ago
202
650
unknown
47
Various HDL (Verilog) IP Cores
Created 2015-05-30
54 commits to master branch, last one 2 years ago
collection of works aiming at reducing model sizes or the ASIC/FPGA accelerator for machine learning
Created 2016-08-16
60 commits to master branch, last one 4 months ago
53
351
apache-2.0
19
PDK for GlobalFoundries' 180nm MCU bulk process technology (GF180MCU).
Created 2022-07-20
112 commits to main branch, last one about a year ago
27
346
other
9
Open source machine learning accelerators
Created 2022-02-26
466 commits to main branch, last one about a year ago
112
322
other
23
The PULP Ara is a 64-bit Vector Unit, compatible with the RISC-V Vector Extension Version 1.0, working as a coprocessor to CORE-V's CVA6 core
Created 2021-03-05
1,597 commits to main branch, last one a day ago
119
316
bsd-2-clause
27
Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.
Created 2014-02-21
682 commits to master branch, last one about a year ago
101
310
other
25
Embedded Scalable Platforms: Heterogeneous SoC architecture and IP integration made easy
Created 2019-06-04
1,549 commits to main branch, last one 3 months ago
51
298
other
47
A huge VHDL library for FPGA development
Created 2016-08-23
7,505 commits to master branch, last one 6 days ago
44
297
mit
13
Code generation tool for control and status registers
Created 2019-04-10
377 commits to master branch, last one 15 days ago
45
247
apache-2.0
8
A 256-RISC-V-core system with low-latency access into shared L1 memory.
Created 2019-11-21
1,511 commits to main branch, last one 6 days ago
144
231
gpl-3.0
35
Cryptocurrency ASIC mining hardware monitor using a simple web interface
Created 2017-09-25
212 commits to master branch, last one about a year ago
59
226
unknown
10
Awesome ASIC design verification
Created 2020-03-02
19 commits to master branch, last one 2 years ago
SystemRDL 2.0 language compiler front-end
Created 2018-03-11
549 commits to main branch, last one about a month ago
70
220
other
10
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Created 2017-03-28
49 commits to master branch, last one 2 months ago
47
202
other
28
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Created 2018-04-23
6,507 commits to master branch, last one 6 days ago
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Created 2016-06-14
453 commits to master branch, last one 11 months ago
27
181
gpl-2.0
7
GDS3D is an application that can interpret so called IC layouts and render them in 3D. The program accepts standard GDSII files as input data. Along with the layout file, it requires a so called proce...
Created 2017-01-26
42 commits to master branch, last one 8 days ago
Hive OS client for ASICs
Created 2018-04-02
1,544 commits to master branch, last one about a year ago