30 results found Sort:

Open-source high-performance RISC-V processor
Created 2020-06-13
9,226 commits to master branch, last one 14 hours ago
574
3.8k
apache-2.0
148
Chisel: A Modern Hardware Design Language
Created 2015-04-27
5,921 commits to main branch, last one 13 hours ago
1.1k
3.0k
other
197
Rocket Chip Generator
Created 2014-09-12
8,667 commits to master branch, last one 2 months ago
405
1.6k
bsd-3-clause
84
SonicBOOM: The Berkeley Out-of-Order Machine
Created 2014-01-21
2,320 commits to master branch, last one 14 days ago
598
1.5k
bsd-3-clause
82
An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more
Created 2016-10-24
4,613 commits to main branch, last one a day ago
100
504
other
39
Simple RISC-V 3-stage Pipeline in Chisel
Created 2016-09-28
222 commits to main branch, last one 2 months ago
Work in progress prototype for the Chisel Level Editor, for Unity
Created 2019-02-05
393 commits to master branch, last one about a year ago
57
424
gpl-3.0
8
A .NET XOR encrypted cobalt strike aggressor implementation for chisel to utilize faster proxy and advanced socks5 capabilities.
Created 2022-07-10
6 commits to main branch, last one 2 months ago
70
208
other
25
The batteries-included testing and formal verification library for Chisel-based RTL designs.
Created 2018-08-24
404 commits to main branch, last one about a month ago
Establish a Reverse Tunnel between different servers and clients. IPV4 | IPV6 - Supports TCP & UDP . You can establish a tunnel between 5 Kharej servers & 1 IRAN server and vice versa.
Created 2023-12-01
106 commits to main branch, last one 3 months ago
A compact guide to network pivoting for penetration testings / CTF challenges.
Created 2022-02-12
57 commits to main branch, last one about a year ago
42
163
gpl-3.0
3
All-in-one OPIran scripts
Created 2023-12-01
111 commits to main branch, last one 3 months ago
63
157
apache-2.0
12
Support files for participating in a Fomu workshop
Created 2019-08-16
661 commits to master branch, last one 2 months ago
20
150
gpl-3.0
4
Fuxi (伏羲) is a 32-bit pipelined RISC-V processor written in Chisel3.
Created 2020-01-21
325 commits to master branch, last one 2 years ago
21
149
bsd-3-clause
17
A Chisel RTL generator for network-on-chip interconnects
Created 2021-10-04
406 commits to master branch, last one 3 months ago
21
134
bsd-2-clause
12
A dynamic verification library for Chisel.
Created 2020-05-27
229 commits to master branch, last one 8 days ago
11
116
other
8
high-performance RTL simulator
Created 2019-10-17
891 commits to master branch, last one about a month ago
20
112
apache-2.0
17
Provides dot visualizations of chisel/firrtl circuits
Created 2018-10-31
115 commits to master branch, last one 2 years ago
27
111
bsd-2-clause
10
Chisel components for FPGA projects
Created 2015-06-25
601 commits to master branch, last one 8 months ago
10
98
bsd-3-clause
18
(System)Verilog to Chisel translator
Created 2020-08-26
110 commits to master branch, last one 2 years ago
A RISC-V Core (RV32I) written in Chisel HDL
Created 2021-09-27
313 commits to main branch, last one 4 months ago
Establishing a Direct tunnel using chisel between Servers and Client - IPV4 | IPV6 - TCP | UDP - [5] Kharej [1] IRAN
Created 2024-01-19
27 commits to main branch, last one 3 months ago
9
69
apache-2.0
18
Library to compile Chisel circuits using LLVM/MLIR (CIRCT)
This repository has been archived (exclude archived)
Created 2021-01-14
50 commits to main branch, last one about a year ago
Kubernetes Operator for Chisel
Created 2023-05-11
188 commits to main branch, last one about a month ago
lldb命令-symbolic
Created 2018-12-15
7 commits to master branch, last one 3 years ago
Learning how to make RISC-V 32bit CPU with Chisel
Created 2021-08-22
67 commits to main branch, last one 2 years ago
FFT generator using Chisel
Created 2020-04-30
20 commits to master branch, last one 2 years ago
🛠 lldb, breakpoint, shortcut 등을 이용한 생산성 향상 방법을 배워보자 🛠
Created 2021-09-16
27 commits to main branch, last one 2 years ago
4
42
apache-2.0
3
The Simple 5-staged pipeline RISC-V written in chisel3 for intel FPGA.
Created 2020-04-08
740 commits to master branch, last one 3 years ago
Personal CheatSheet used for the exam made with Obsidian, download the repo and use the resources within Obsidian for a better experience. CHISEL & SOCAT BINARIES ARE WITHIN THE PIVOTING SECTION.
Created 2023-02-06
10 commits to main branch, last one about a year ago